作业帮 > 综合 > 作业

求数字电路设计:设计一个3位数字显示计时器(具备开机自动清零,最大时间9分59秒,精度为秒)求原理和图~

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/07/08 20:26:40
求数字电路设计:设计一个3位数字显示计时器(具备开机自动清零,最大时间9分59秒,精度为秒)求原理和图~
能随意控制计时器的启动和停止,保持计时显示~
求设计原理~
如果答得好的话我会追加的,不是用单片机,没那么复杂,用TTL电路、CMOS电路等集成电路组合
555构成振荡电路,产生秒脉冲.
HC160/162构成计数电路3个.清零电路用RC做,控制各计数器清零端
4543构成译码器3个.
启停可以用开关控制555的复位端.就可以了.
英语翻译设计一个采用数字电路实现,对时,分,秒.数字显示的计时装置,周期为24小时,显示满刻度为23时59分59秒,并具 一、多功能数字钟 设计任务与要求:1.设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时 设计一个直接显示时,分,秒的数字电子钟.和设计校时,校分的控制电路 课程设计数字秒表设计 设计要求\x051.设计一个数字秒表,要求从00:00秒开始计时,最大计时时间为9 设计一个数字电子钟用于显示时间的变化.(1)时分秒显示功能,时分秒分别用2个数码管显示,要求在00点00分00秒到23点 求12小时制数字电子钟的设计,要求:1.用12小时制进行时间显示; 2.能够显示小时,分钟; 3.每秒要有秒闪 EDA设计数字时钟设计一个含有时/分/秒的时钟设计要求:1.具有'秒','分','时'时钟显示功能,在6个LED上显示小 技术指标1用中小规模集成电路设计并制作——数字电子钟要求如下:⑴设计指标①时间以24小时为一个周期;②显示时、分、秒;③ 做一个简易时钟(1)8位数码管显示:时-分-秒;(2)4个按键:加1键,减1键,启动键/暂停复用键,清零键;(3)按键有 英语翻译数字式电子钟采用数字电路实现时分秒数字的显示计时装置,由于数字集成电路的发展,数字钟的精度和稳定性大大提高,因此 设计数字电子钟.要求:(1):设计一个能显示时、分、秒,并具有校时功能的电子钟.小时采用24小时进制.(2):设计24小 eda 数字时钟我也是学电子的.能把你的EDA课程设计给我发一份吗?设计一个数字钟设计一个能显示1/10秒、秒、分、时的