作业帮 > 综合 > 作业

eda 数字时钟我也是学电子的.能把你的EDA课程设计给我发一份吗?设计一个数字钟设计一个能显示1/10秒、秒、分、时的

来源:学生作业帮 编辑:作业帮 分类:综合作业 时间:2024/07/14 00:23:56
eda 数字时钟
我也是学电子的.能把你的EDA课程设计给我发一份吗?
设计一个数字钟
设计一个能显示1/10秒、秒、分、时的12小时数字钟.
熟练掌握各种计数器的使用.
能用计数器构成十进制、六十进制、十二进制等所需进制的计数器.
能用低位的进位输出构成高位的计数脉冲.
我不是学姐,答案我就不帮你做了,给你一些提示,希望你能独立完成.
1:先选对计数器,根据需要选择4位,8位,32位(如果没有32位的计数器可以用2个16位的计数器级联起来,第一级的计数器的高位输出驱动第二级的计数器始终)
2:10进制,12进制,60进制的计数器怎么做?
你需要一个比较器,比较器输入端比较counter的值和一个preset value,如果两个值相等,则输出一,否则输出0,用这个比较信号来控制counter的复位信号,注意有些复位是低电平有效
3:有了上面的这些计数器以后怎么做时钟?
用级联的方式把上面这些计数器串联起来,也就是说
用function generator 产生一个10Hz的频率分秒的比较器输出当作秒的时钟输入(enable也可以),同样的道理,秒的计数器的比较器出入做分的计数器的十种输入.
eda 数字时钟我也是学电子的.能把你的EDA课程设计给我发一份吗?设计一个数字钟设计一个能显示1/10秒、秒、分、时的 EDA数字钟课程设计数字钟[要求]1、设计的数字钟能直接显示“时”,“分”,“秒”;2、具有时钟设置功能,方便用户进行时 EDA设计数字时钟设计一个含有时/分/秒的时钟设计要求:1.具有'秒','分','时'时钟显示功能,在6个LED上显示小 EDA数字时钟设计一个含有时/分/秒的时钟,并且可以设置,清除,12/24小时工作模式切换,仿电台整点报时.设计提示:1 急求eda课程设计一份:脉冲信号发生器的设计 设计一个直接显示时,分,秒的数字电子钟.和设计校时,校分的控制电路 设计数字电子钟.要求:(1):设计一个能显示时、分、秒,并具有校时功能的电子钟.小时采用24小时进制.(2):设计24小 EDA课程设计:设计含有异步清零和计数使能的16位二进制加减可控计数器 一、多功能数字钟 设计任务与要求:1.设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时 设计一个数字电子钟用于显示时间的变化.(1)时分秒显示功能,时分秒分别用2个数码管显示,要求在00点00分00秒到23点 数字电子钟设计报告一.整体功能要求数字电子钟应能以秒为最小单位计时时,同时应能用数字直观显示当前的时,分,秒.二.系统结 英语翻译设计一个采用数字电路实现,对时,分,秒.数字显示的计时装置,周期为24小时,显示满刻度为23时59分59秒,并具